《机电工程》杂志,月刊( 详细... )

中国标准连续出版物号 ISSN 1001-4551 CN 33-1088/TH
主办单位浙江省机电集团有限公司
浙江大学
主编赵 群
副 主 编唐任仲、罗向阳(执行主编)
总 经 理罗向阳
出 版浙江《机电工程》杂志社有限公司
地 址杭州市上城区延安路95号浙江省机电集团大楼二楼211、212室
电话Tel+86-571-87041360、87239525
E-mailmeem_contribute@163.com
国外发行中国国际图书贸易总公司
订阅全国各地邮局   国外代号M3135
国内发行浙江省报刊发行局
邮发代号32-68
广告发布登记证:杭上市管广发G-001号

在线杂志

当前位置: 机电工程 >>在线杂志

面向视频应用的专用指令集处理器设计

作者:麻巍,龙国强 日期:2008-08-14/span> 浏览:4618 查看PDF文档

面向视频应用的专用指令集处理器设计

麻巍,龙国强
(浙江大学 超大规模集成电路设计研究所, 浙江 杭州 310027)

摘要:由于专用指令集处理器(ASIP)具备ASIC的高效性与通用处理器的灵活性,在视频处理领域有着广阔的应用前景。设计了一种面向视频应用的SIMD处理器,它包括显式数据置换的专用指令集和专门设计的数据通路和运算单元,实现了高效的视频处理。并采用SMIC 0.18 μm标准CMOS工艺对该设计进行了VLSI实现,在最差工作条件下,时钟频率可达到180 MHz,面积约12.38 mm2。表明该处理器能极大地提高视频处理的效率。
关键词:专用指令集处理器;视频处理;单指令多数据;显式数据置换;流水线;超大规模集线电路
中图分类号:TN47;TP302文献标识码:A文章编号:1001-4551(2008)07-0040-04

Design of an application specific instruction set processor for video processing
MA Wei, LONG Guo-qiang
(Institute of VLSI design, Zhejiang University, Hangzhou 310027, China)
Abstract: The ASIP, which features the high efficiency of ASIC and the flexibility of General Purpose Processor, has and will have been popular in video processing domain. A new design of SIMD proccessor, which had an explicit data organization instruction set and special hardware architecture, was presented. The design was synthesised in SIMC 0.18 μm standard CMOS technology, with a frequency of 180 MHz and an area of 12.38 mm2 under the worst working condition. The results show that the processor can improve the efficiency of video processing greatly.
Key words: application specific instruction set processor(ASIP); video processing; single instruction multiple datu(SIMD); explicit data organization; pipeline; very large scale integration(VLSI)
参考文献(Reference):
[1]RICHARDSON I. H264 and MPEG-4 Video Compression: Video Coding for Next Generation Multimedia.[M]. John Wiley & Sons: UK,2003.
[2]FRITTS J. Architecture and Compiler Design Issues in Programmable Media Processor[D]. Princeton University,2000.
[3]LEE R B. Accelerating multimedia with enhanced microprocessors[J]. IEEE Micro,1995,15(2):22-32.
[4]INTEL Corporation. Intelq 64 and IA-32 Architecture Software Developers Manuals[EB/OL]. [2008-2-1]. http://www.intel.com/design/pentium4/manuals/index_new.htm.
[5]DIEFENDORFF K, DUBEY P K, HOCHSPRUNG R, et al. AltiVec extension to PowerPC accelerates media processing[J]. Micro, IEEE,2000,20(2):85-95.
[6]SLINGERLAND N, SMITH A J. Design and characterization of the berkeley multimedia workload[J]. Multimedia Systems,2002,8(4):315-327.
[7]SMITH G, OREN J. Survey of commercial parallel machines[J]. ACM SIGARCH Computer Architecture News,1993,21:13-33.
[8]HASKELL B G. Digital Video:An introduction to MPEG-2[M]. Kluwer Academic Publishers:1996.
[9]MAHLKE S A, HANK R E, MCCORMICK J E, et al. A comparison of full and partial predicated execution support for ILP processors[C]//Proceedings of the 22nd annual international symposium on Computer architecture S. Italy: ACM Press,1995:474-485.
[10]YAM C Y. Optimizing Video Compression for Intel Digital Security Surveillance application with SIMD and Hyper-Threading Technology[EB/OL].[2005-08-07].http://www.intel.com/design/intarch/papers.



友情链接

浙江机械信息网